home *** CD-ROM | disk | FTP | other *** search
/ Chip 2004 December / 2004-12 CHIP.iso / Dom i biuro / Crimson Editor 3.70 / cedt370r.exe / spec / verilog.key < prev    next >
INI File  |  2002-05-24  |  2KB  |  62 lines

  1. [-COMMENT-:GLOBAL]
  2. # Verilog-HDL LANGUAGE KEYWORDS FILE FOR CRIMSON EDITOR
  3.  
  4. # FIRST EDITED BY Chang-woo,YANG 2001.5.11
  5. # =============================================================
  6. # = Here is a "PLDWorld.com"...       http://www.PLDWorld.com =
  7. # =                                     podongii@PLDWorld.com =
  8. # = oOOo oOOo =================================================
  9.  
  10. [KEYWORDS0:GLOBAL]
  11. always and assign
  12. begin buf bufif0 bufif1
  13. case casex casez cmos
  14. deassign default defparam disable
  15. edge else end endattribute endcase endmodule endfunction endprimitive endspecify endtable endtask event
  16. for force forever fork function
  17. highz0 highz1
  18. if ifnone initial inout input integer
  19. join
  20. large
  21. macromodule medium module
  22. nand negedge nmos nor not notif0 notif1
  23. or output
  24. parameter pmos posedge primitive pull0 pull1 pullup pulldown
  25. rcmos real realtime reg release repeat rnmos rpmos rtran rtranif0 rtranif1
  26. scalared signed small specify specparam strength strong0 strong1 supply0 supply1
  27. table task time tran tranif0 tranif1 tri tri0 tri1 triand trior trireg
  28. unsigned
  29. vectored
  30. wait wand weak0 weak1 while wire wor
  31. xnor xor
  32.  
  33. [KEYWORDS1:GLOBAL]
  34. `resetall `define `undef `timescale `ifdef `else `endif `include `celldefine `endcelldefine `default_nettype `unconnected_drive `nounconnected_drive
  35.  
  36. [KEYWORDS2:GLOBAL]
  37. $display $displayb $displayh $displayo $monitor $monitorb $monitorh $monitoro $monitoron $monitoroff $strobe $strobeb $strobeh $strobeo $write $writeb $writeh $writeo
  38. $fclose $fdisplay $fdisplayb $fdisplayh $fdisplayo $fmonitor $fmonitorb $fmonitorh $fmonitoro $readmemb $fopen $fstrobe $fstrobeb $fstrobeh $fstrobeo $fwrite $fwriteb $fwriteh $fwriteo $readmemh
  39. $printtimescale $timeformat
  40. $finish $stop
  41. $hold $period $setup $skew $nochange $recovery $setuphold $width
  42. $async $sync $and $nand $or $nor $array $plane
  43. $q_initialize $q_remove $q_exam $q_add $q_full $q_random
  44. $realtime $time $stime
  45. $bitstoreal $itor $readtobits $rtoi
  46. $random $dist_chi_square $dist_exponential $dist_poisson $dist_uniform $dist_erlang $dist_nornal $dist_t
  47. $comment $date $enddefinitions $scope $timescale $upscope $var $version $dumpall $dumpoff $dumpon $dumpvars
  48.  
  49. [KEYWORDS3:GLOBAL]
  50.  
  51. [KEYWORDS4:GLOBAL]
  52.  
  53. [KEYWORDS5:GLOBAL]
  54.  
  55. [KEYWORDS6:GLOBAL]
  56.  
  57. [KEYWORDS7:GLOBAL]
  58.  
  59. [KEYWORDS8:GLOBAL]
  60.  
  61. [KEYWORDS9:GLOBAL]
  62.